Recent Question/Assignment

Can you able to do this assignment?
Faculty of Computing, Engineering & Media (CEM)
Coursework Brief 2019/20
Module name: Product Design and Development
Module code: ENGD2051
Title of the Assignment: Coursework 1 - Design Analysis
This coursework item is: (delete as appropriate) Summative
This summative coursework will be marked anonymously: (delete as appropriate) No
The learning outcomes that are assessed by this coursework are:
Learning outcomes (please indicate in brackets at the end of each learning outcome which assessment task(s) will test the achievement of the learning outcome)
1 Demonstrate an awareness of the generic processes involved in New Product Development; Assessement- (Partially CW1 Group Design Work)
2 The student will be able to further apply the modelling tools and techniques associated with the engineering design process. This outcome is assessed via laboratory reports and coursework. (Design Study)
3 The student will have a fundamental understanding and application of Analysis in the design prior to physical prototypes. This outcome is assessed via laboratory reports and coursework. (Design Study and Group Work)
This coursework is: (delete as appropriate) Individual
This coursework constitutes 50% of the overall module mark.
CW 1- Design Analysis Set October 2019
Hand in date - Friday 17th January 2020
Feedback 17th February 2020
Date Set: See above
Date & Time Due: See above
Your marked coursework and feedback will be available to you on:
If for any reason this is not forthcoming by the due date your module leader will let you know why and when it can be expected. The Associate Professor Student Experience (CEMstudentexperience@dmu.ac.uk) should be informed of any issues relating to the return of marked coursework and feedback.
Note that you should normally receive feedback on your coursework by no later than 20 University working days after the formal hand-in date, provided that you have met the submission deadline.
See Above
When completed you are required to submit your coursework via:
When completed you are required to submit your coursework to:
Complete report should be handed into the Student Support Centre – Gateway House 0.41. The reports should be in A4 folder/wallet with printouts and a CD/USB containing all the information.
If you need any support or advice on completing this coursework please visit the Student Matters tab on the Faculty of Technology Blackboard page.
Late submission of coursework policy: Late submissions will be processed in accordance with current University regulations which state:
“the time period during which a student may submit a piece of work late without authorisation and have the work capped at 40% [50% at PG level] if passed is 14 calendar days. Work submitted unauthorised more than 14 calendar days after the original submission date will receive a mark of 0%. These regulations apply to a student’s first attempt at coursework. Work submitted late without authorisation which constitutes reassessment of a previously failed piece of coursework will always receive a mark of 0%.”
Academic Offences and Bad Academic Practices:
These include plagiarism, cheating, collusion, copying work and reuse of your own work, poor referencing or the passing off of somebody elses ideas as your own. If you are in any doubt about what constitutes an academic offence or bad academic practice you must check with your tutor. Further information and details of how DSU can support you, if needed, is available at:
http://www.dmu.ac.uk/dmu-students/the-student-gateway/academic-support-office/academic-offences.aspx and
http://www.dmu.ac.uk/dmu-students/the-student-gateway/academic-support-office/bad-academic-practice.aspx
Tasks to be undertaken: See following Task
Deliverables to be submitted for assessment: See Attached Assignment
How the work will be marked: Marking Scheme is attached with each CW
Module leader/tutor name: Haris Pancholi
Contact details: 0116 2577059- haris@dmu.ac.uk

Tasks to be undertaken:
DE MONTFORT UNIVERSITY LEICESTER
School of Engineering and Sustainable Development
BEng Mechanical Engineering/BSc Energy Engineering/BSc Product Design
ENGD2051 – Product Design and Management
Date Set: October 2019
Coursework 1 - Design Analysis
PART-A
i)The aims of the assignment are to understand the principals of 3D - Design Analysis and to carry out Finite Element Analysis on Simple Plate of size to be individually determined Y is between 120mm and 200mm inclusive, Z = Y/2; X = Y/2; C=Z/2 and D = 20% to 40% of Z, See figure below. The plate has uniform thickness of Z/4. (Please Ensure Individuality) Further aim is understanding the effects of different feature in a component and mesh density.
The objective is to produce a Simple Plate in the design package such as Creo6 and transferring the model into an Analysis package as and carrying out simulation of tensile stress. Initially the FEA package will Creo Simulate and then Ansys
Methodology requires applying fixed boundary conditions at one end and force/presser at the other end of the plate. You are to perform static stress analysis with linear material models on a classical problem by testing for different mesh densities.
Create the 3D design model in PTC Creo6

Attempt various mesh sizes starting from course to fine.
Initial Conditions: Fixed Boundary Conditions to be applied to one surface of the plate and a pressure to equivalent force of 40KN to be applied on the opposite surface.
Material to be used is Steel Low carbon Steel as listed in the materials library initially
Compare analysis results with classical stress calculations.
(ii) Further analysis can be carried out on a different size holes and section, such as a plate with Semi-circle notch (see below) on opposite edges and Square hole and also, different material and applying the same force/pressure to smaller area of 25% of the length Z in the middle. This will enable you to understand the effects of different type of features/parameters in a component
(iii) Discuss the methods for verifying results using classical formulae’s
Calculator for Stress Concentration Factor. Kt - FYI -- https://www.efatigue.com/
? Rectangular Bars (https://www.efatigue.com/constantamplitude/stressconcentration/#a)
? Plate with a Circular Symmetric Hole
? Enter the value for ‘W’ width and Value for ‘d) Diameter ? Click on ‘Calculate Kt Value’
? Use the value to calculate total stress. Total Stress = Analysis Stress x Kt

(iv) Source and evaluate an actual product which has a component that is under tensile loading. Apply this type of analysis to a component and discuss the results.

This will develop your ability to understand the application of design packages, the effects of mesh density and to formulate decisions based on results obtained
Marks will be awarded for the following: -
Describing and explaining why the steps taken to produce the design and to carryout analysis with relevant printouts.
Discussing the results obtained and exploring variations and making recommendations. Evaluating and reporting on the effect of mesh density on stress and comparing it against the calculated vale using stress concentration factor.
Evaluating the effects of different diameters, together with different type of a hole for example square & notch and materials.
Verifying the results using classical methods.

Rectangular Bar with Opposite Edge Notches
Part B
The aim of the assignment is to develop your ability to model and analyze a component using design tools. The objective is to produce a design using a CAD package and to carry out Finite Element Analysis on an everyday engineering component. The product to consider is a common Bicycle Crank. Initial Dimensions for the Crank are as shown below.
Crank is modelled in PTC Creo 3D design package and can be saved as a *.PRT file.
CAD Data can be saved a neutral exchange file *. STEP is imported and analyzed in third party Simulation FEA package such as Ansys. Some third party can read *.PRT files directly and PTC Creo has integrated FEA package ‘Simulate’.

Dimensions for the Crank with Plain Holes
- Examples of Other Profiles for consideration for comparison are given as follows

Spigot – Parallel Fixing Profile Dimensions of the Spline

Spigot – Angled Fixing Profile Dimensions of the Spline

Another Example of Types of Fixing the Crank using a Flat Face
- Some other fixing could be just a square instead of a circle
This will further enhance the experience of using CAD and analysis by creating a model of bicycle crank and analyzing in Simulate/Ansys. This will highlight the benefits that can be gained from using design tools in the design process.
Conditions: Fixed Boundary Conditions to be applied to the surface on the 12mm hole in the R20 Boss initially.
Force of 1200N to be applied to the inner Nodes/Surface on the 10mm diameter hole in the 20mm boss on the Right-Hand Side
Material to be used is Medium carbon Steel listed in the materials library.
Design Safety Factor to consider is 4:1 at the Radii
Marks will be awarded for the following
Discuss the steps taken to produce the design and analysis with relevant printouts.
Consider the effects of Fixed Boundary Conditions and the Force applied at Nodal points or the Surface.
Discuss the results obtained and make recommendations.
Discuss the results obtained and explore variations and make recommendations. Evaluate and report on the effect of mesh density and the optimized shape of the Crank considering the effects of different radius and thickness as highlighted above on the Crank body.
Consider other analysis model such as an assembly with a Spigot in the Crank.
Verify the results using classical methods
Compare and Comment on the design of different type of fixing at the Crank and the spigot. For example, a key or tapered spigot or as per example given in the handout
Report Quality
Interim formative feedback during week 8.
Part C
Bending Stress Analysis of structural Section – Such as a Universal Beam – I-Beam. One Method of reducing weight of an I- Section and increase the load carrying capacity is to cut in Hexagon profile and weld the 2- sections as shown below.
Carryout Bending Stress on standard I section and a modified section with Beam of Appropriate length It is possible to modify the section provided on blackboard but use your own dimension that can be obtained from suppliers online.
For 1st analysis consider it as Cantilever with force 10KN; consider the effects of temperatures in extreme conditions.
Attempt Modal Analysis.
For 2nd Analysis consider it as a Simply Supported Beam.

(a)
(b) (c)
Part D – Bell Crank for Formula Student car in the workshop is given.
Look at the assembled components on the Formula car in the Mechanical Workshop and evaluate and discuss the loading conditions. Model the part with appropriate conditions and carry out analysis to determine the optimized the design with a safety factor of 4:1
Assemble pins in the holes and carry out further analysis for comparison. Look at the new design on the current car and compare.
Bell crank for Formula Student Car
Part E
Discuss types of FEA methods and the benefits of applying design analysis in the Product Life Cycle, use examples to illustrate your discussion. Discuss also the functional differences between Ansys and Creo Simulate.
Report Quality
Completed hardcopy of the report to be handed into CEMAC student advice Centre in Gateway House by 17th January 2020
Coursework 1 - Product Design Analysis (ENGD2051)
Assessment Sheet
Name:______________________________________
Marks will be awarded as per the student’s Handbook
Part- A
(i) Describe the steps taken to produce the design and to carryout analysis with relevant printouts.
Discuss the results obtained and explore variations and make recommendations. Evaluate and report on the effect of mesh density and compare against the stress concentration factor. Analyses of the effect of different diameters and materials can also be considered. Consider the effects of Fixed Boundary Conditions and the Force applied at Nodal points or, edge or on the Surface.
Test for the effect of applying the same pressure in smaller area of 25% of the length ‘Z’ 20%
(ii) Compare the effects of different features on stress. Analysis model such as Plate with a Square Hole and Semi-Circle Notches– Discuss the Kt factor and the stress values compare to circle 10%
(iii) Discuss the methods for verifying results using classical formulae’s 5%
(iv) Analyze a component from an actual product which is under tensile loading in operation and discuss the results. 10%
Part B – Carry out analysis and Discuss the results obtained and explore variations of bicycle crank feature and consider the factor of safety. Evaluate and report on the effect of mesh density and the optimized shape of the bicycle Crank covering the effect of different radius as highlighted above and thickness of the crank body. Consider the effects of Fixed Boundary Conditions and the type of Force Applied (Nodal points or, edge or Surface)
Consider other analysis model such as Spigot assembled to the crank for comparison.
Compare and Comment on the design of different type of fixing at the Crank and the spigot. For example, a key or tapered spigot or as per examples given in the handout. Comment on the results. 25%
Part C Evaluation of Universal Beam. Bending stress standard and modified, possible thermal, and modal analysis. 10%
Part D –
Bell Crank for Formula Student car in the workshop is given.
Look at the assembled components and discuss the loading conditions. Model the part with appropriate conditions and carry out analysis to determine the optimized the design with a safety factor of 4:1. Assemble pins in the holes and carry out further analysis for comparison. Look at the current design on the car and compare. 20%

Part E
Discuss types of FEA methods and the benefits of applying design analysis in the Product Life Cycle, use examples to illustrate your discussion. Also, discuss the functional differences between Ansys and Creo Simulate 5%

Report Quality
http://www.roymech.co.uk/Useful_Tables/Sections/steel_section_index.htm
http://www.pvrdirect.co.uk/productinfo.aspx?catref=SP1236&incvat&gclid=CLLNq4-esMkCFYvnwgod3V8AkQ